Checking if your kit is complete... Looks good Writing Makefile for Hardware::Vhdl::Tidy cp lib/Hardware/Vhdl/Tidy.pm blib/lib/Hardware/Vhdl/Tidy.pm Manifying blib/man3/Hardware::Vhdl::Tidy.3 PERL_DL_NONLAZY=1 /Users/cpanrun/build/5.10.0/bin/perl "-MExtUtils::Command::MM" "-e" "test_harness(1, 'blib/lib', 'blib/arch')" t/*.t t/00-load.............1..1 ok 1 - use Hardware::Vhdl::Tidy; # Testing Hardware::Vhdl::Tidy 0.8, Perl 5.010000, /Users/cpanrun/build/5.10.0/bin/perl ok t/boilerplate.........1..3 ok 1 - README contains no boilerplate text ok 2 - Changes contains no boilerplate text ok 3 - lib/Hardware/Vhdl/Tidy.pm contains no boilerplate text ok t/errors..............1..11 ok 1 - use Hardware::Vhdl::Tidy; ok 2 - baseline: construct without error ok 3 - missing source arg to tidy_vhdl ok 4 - missing destination arg to tidy_vhdl ok 5 - source is not a ref ok 6 - test case self-check ok 7 - test case self-check ok 8 - bad source type: object without the right method ok 9 - bad source type: scalar ref ref ok 10 - bad source type: hash ref ok 11 - End of tests ok t/pod-coverage........1..0 # Skip Test::Pod::Coverage 1.04 required for testing POD coverage skipped all skipped: Test::Pod::Coverage 1.04 required for testing POD coverage t/pod.................1..1 ok 1 - blib/lib/Hardware/Vhdl/Tidy.pm ok t/tidy................ok 1 - use Hardware::Vhdl::Tidy; ok 2 - process lite 1 with destination supplied as arrayref ok 3 - process lite 1 with destination supplied as fileglob ok 4 - process lite 1 with destination supplied as stringref ok 5 - process lite 1 with destination supplied as subref # test line 3: expected 4 indent spaces before 'eNd coMPoNeNT;', got 10 # test line 4: expected ' \n', got ' cOMpONeNt tImEr\n' # test line 5: expected ' cOMpONeNt tImEr\n', got ' pORT (cLk\t:iN sTD_lOGIC;\n' # ... further errors suppressed # not ok 6 - empty component declaration # TODO Not implemented yet # Failed (TODO) test 'empty component declaration' # at t/tidy.t line 797. # test line 5: expected '#dEFINe uARtio_SpEC \\n', got '#dEFINe uARtio_SpEC \\n sIGnAl RESEt : oUt STD_LOGIC; \\n SiGNAl sElUArT : OuT inteGer RANgE nUArtS-1 dOWNtO 0; \\n SigNal host_C : ouT t_Wb_MAStEr_COnTROL; \\n SiGNal Host_S : in t_wB_MAsTER_StATUs; \\n SouRCeliNe : IN nATUrAl; \\n signAL LINenO : out NatURaL\n' # test line 6: expected ' sIGnAl RESEt : oUt STD_LOGIC; \\n', got ' Q <= t + BaR * x;\n' # test line 7: expected ' SiGNAl sElUArT : OuT inteGer RANgE nUArtS-1 dOWNtO 0; \\n', got 'EnD\n' # ... further errors suppressed # not ok 7 - process lite 1 with left-aligned multi-line preprocessor commands # TODO Not implemented yet # Failed (TODO) test 'process lite 1 with left-aligned multi-line preprocessor commands' # at t/tidy.t line 797. # test line 5: expected '##if simULATiNG==1\n', got ' ##if simULATiNG==1\n' # test line 6: expected 4 indent spaces before 'T <= AL-fOO*5;', got 6 # test line 7: expected '##ElSe\n', got ' ##ElSe\n' # ... further errors suppressed # not ok 8 - process lite 1 with left-aligned preprocessor prefix='\#\#' # TODO Not implemented yet # Failed (TODO) test 'process lite 1 with left-aligned preprocessor prefix='\#\#'' # at t/tidy.t line 797. # test line 5: expected '-- PrAgma PReproC If siMuLAtiNG==1\n', got ' -- PrAgma PReproC If siMuLAtiNG==1\n' # test line 7: expected '-- PragMA pRepROc eLSE\n', got ' -- PragMA pRepROc eLSE\n' # test line 9: expected '-- PrAGmA PrEPrOc eNDiF\n', got ' -- PrAGmA PrEPrOc eNDiF\n' # # not ok 9 - process lite 1 with left-aligned preprocessor prefix='-- pragma preproc ' # TODO Not implemented yet # Failed (TODO) test 'process lite 1 with left-aligned preprocessor prefix='-- pragma preproc '' # at t/tidy.t line 797. # prOClABeL start=1 stack= line='proclabel ' # : start= stack= line='proclabel : ' # PrOcesS start= stack=process@3 line='proclabel : process ' # ( start= stack=process@3, (@4 line='proclabel : process ' # a start= stack=process@3, (@4 line='proclabel : process ' # , start= stack=process@3, (@4 line='proclabel : process ' # B start= stack=process@3, (@4 line='proclabel : process ' # ( start= stack=process@3, (@4, (@6 line='proclabel : process ' # 1 start= stack=process@3, (@4, (@6 line='proclabel : process ' # DoWNtO start= stack=process@3, (@4, (@6 line='proclabel : process ' # 0 start= stack=process@3, (@4, (@6 line='proclabel : process ' # ) start= stack=process@3, (@4 line='proclabel : process ' # , start= stack=process@3, (@4 line='proclabel : process ' # C start= stack=process@3, (@4 line='proclabel : process ' # ) start= stack=process@3 line='proclabel : process ' # iS start= stack=process@3 line='proclabel : process is ' # VaRIAble start=1 stack=process@3 line='variable ' # T start= stack=process@3 line='variable t ' # : start= stack=process@3 line='variable t : ' # INTeGer start= stack=process@3 line='variable t : integer ' # ; start= stack=process@3 line='' # BEgIn start=1 stack=process@3 line='' # T start=1 stack=process@3 line='t ' # <= start= stack=process@3 line='t <= ' # Al start= stack=process@3 line='t <= al ' # - start= stack=process@3 line='t <= al - ' # Foo start= stack=process@3 line='t <= al - foo ' # ; start= stack=process@3 line='' # q start=1 stack=process@3 line='q ' # <= start= stack=process@3 line='q <= ' # t start= stack=process@3 line='q <= t ' # + start= stack=process@3 line='q <= t + ' # BAr start= stack=process@3 line='q <= t + bar ' # * start= stack=process@3 line='q <= t + bar * ' # X start= stack=process@3 line='q <= t + bar * x ' # ; start= stack=process@3 line='' # enD start=1 stack= line='end ' # procesS start= stack= line='end process ' # prOCLabEL start= stack= line='end process proclabel ' # ; start= stack= line='' ok 10 - process, with all the extras # prOCLaBEl start=1 stack= line='proclabel ' # : start= stack= line='proclabel : ' # poSTPONED start= stack= line='proclabel : postponed ' # PRocESS start= stack=process@3 line='proclabel : postponed process ' # ( start= stack=process@3, (@4 line='proclabel : postponed process ' # a start= stack=process@3, (@4 line='proclabel : postponed process ' # , start= stack=process@3, (@4 line='proclabel : postponed process ' # B start= stack=process@3, (@4 line='proclabel : postponed process ' # ( start= stack=process@3, (@4, (@6 line='proclabel : postponed process ' # 1 start= stack=process@3, (@4, (@6 line='proclabel : postponed process ' # DoWnTo start= stack=process@3, (@4, (@6 line='proclabel : postponed process ' # 0 start= stack=process@3, (@4, (@6 line='proclabel : postponed process ' # ) start= stack=process@3, (@4 line='proclabel : postponed process ' # , start= stack=process@3, (@4 line='proclabel : postponed process ' # C start= stack=process@3, (@4 line='proclabel : postponed process ' # ) start= stack=process@3 line='proclabel : postponed process ' # iS start= stack=process@3 line='proclabel : postponed process is ' # VARIAbLe start=1 stack=process@3 line='variable ' # t start= stack=process@3 line='variable t ' # : start= stack=process@3 line='variable t : ' # iNTEgEr start= stack=process@3 line='variable t : integer ' # ; start= stack=process@3 line='' # bEgIN start=1 stack=process@3 line='' # t start=1 stack=process@3 line='t ' # <= start= stack=process@3 line='t <= ' # al start= stack=process@3 line='t <= al ' # - start= stack=process@3 line='t <= al - ' # FOo start= stack=process@3 line='t <= al - foo ' # ; start= stack=process@3 line='' # q start=1 stack=process@3 line='q ' # <= start= stack=process@3 line='q <= ' # T start= stack=process@3 line='q <= t ' # + start= stack=process@3 line='q <= t + ' # baR start= stack=process@3 line='q <= t + bar ' # * start= stack=process@3 line='q <= t + bar * ' # X start= stack=process@3 line='q <= t + bar * x ' # ; start= stack=process@3 line='' # ENd start=1 stack= line='end ' # POsTpONED start= stack= line='end postponed ' # pROCeSS start= stack= line='end postponed process ' # procLAbEl start= stack= line='end postponed process proclabel ' # ; start= stack= line='' ok 11 - postponed process, with all the extras # \_$! : MY oDD prOCeSs lABEl...\ start=1 stack= line='xid ' # : start= stack= line='xid : ' # ProCeSs start= stack=process@3 line='xid : process ' # ( start= stack=process@3, (@4 line='xid : process ' # a start= stack=process@3, (@4 line='xid : process ' # , start= stack=process@3, (@4 line='xid : process ' # B start= stack=process@3, (@4 line='xid : process ' # ( start= stack=process@3, (@4, (@6 line='xid : process ' # 1 start= stack=process@3, (@4, (@6 line='xid : process ' # DoWntO start= stack=process@3, (@4, (@6 line='xid : process ' # 0 start= stack=process@3, (@4, (@6 line='xid : process ' # ) start= stack=process@3, (@4 line='xid : process ' # , start= stack=process@3, (@4 line='xid : process ' # c start= stack=process@3, (@4 line='xid : process ' # ) start= stack=process@3 line='xid : process ' # iS start= stack=process@3 line='xid : process is ' # varIaBLE start=1 stack=process@3 line='variable ' # T start= stack=process@3 line='variable t ' # : start= stack=process@3 line='variable t : ' # inTEger start= stack=process@3 line='variable t : integer ' # ; start= stack=process@3 line='' # BeGiN start=1 stack=process@3 line='' # t start=1 stack=process@3 line='t ' # <= start= stack=process@3 line='t <= ' # al start= stack=process@3 line='t <= al ' # - start= stack=process@3 line='t <= al - ' # Foo start= stack=process@3 line='t <= al - foo ' # ; start= stack=process@3 line='' # Q start=1 stack=process@3 line='q ' # <= start= stack=process@3 line='q <= ' # t start= stack=process@3 line='q <= t ' # + start= stack=process@3 line='q <= t + ' # bAr start= stack=process@3 line='q <= t + bar ' # * start= stack=process@3 line='q <= t + bar * ' # x start= stack=process@3 line='q <= t + bar * x ' # ; start= stack=process@3 line='' # ENd start=1 stack= line='end ' # prOcess start= stack= line='end process ' # \_$! : my odD PrOceSS laBEL...\ start= stack= line='end process xid ' # ; start= stack= line='' ok 12 - process, with all the extras # \_$! : mY ODd PrOCesS laBEL...\ start=1 stack= line='xid ' # : start= stack= line='xid : ' # postPoNed start= stack= line='xid : postponed ' # prOCEss start= stack=process@3 line='xid : postponed process ' # ( start= stack=process@3, (@4 line='xid : postponed process ' # a start= stack=process@3, (@4 line='xid : postponed process ' # , start= stack=process@3, (@4 line='xid : postponed process ' # B start= stack=process@3, (@4 line='xid : postponed process ' # ( start= stack=process@3, (@4, (@6 line='xid : postponed process ' # 1 start= stack=process@3, (@4, (@6 line='xid : postponed process ' # DowNTo start= stack=process@3, (@4, (@6 line='xid : postponed process ' # 0 start= stack=process@3, (@4, (@6 line='xid : postponed process ' # ) start= stack=process@3, (@4 line='xid : postponed process ' # , start= stack=process@3, (@4 line='xid : postponed process ' # C start= stack=process@3, (@4 line='xid : postponed process ' # ) start= stack=process@3 line='xid : postponed process ' # is start= stack=process@3 line='xid : postponed process is ' # varIablE start=1 stack=process@3 line='variable ' # T start= stack=process@3 line='variable t ' # : start= stack=process@3 line='variable t : ' # intEgER start= stack=process@3 line='variable t : integer ' # ; start= stack=process@3 line='' # bEgIN start=1 stack=process@3 line='' # t start=1 stack=process@3 line='t ' # <= start= stack=process@3 line='t <= ' # Al start= stack=process@3 line='t <= al ' # - start= stack=process@3 line='t <= al - ' # fOO start= stack=process@3 line='t <= al - foo ' # ; start= stack=process@3 line='' # Q start=1 stack=process@3 line='q ' # <= start= stack=process@3 line='q <= ' # T start= stack=process@3 line='q <= t ' # + start= stack=process@3 line='q <= t + ' # bar start= stack=process@3 line='q <= t + bar ' # * start= stack=process@3 line='q <= t + bar * ' # x start= stack=process@3 line='q <= t + bar * x ' # ; start= stack=process@3 line='' # enD start=1 stack= line='end ' # POstponED start= stack= line='end postponed ' # PrOCESs start= stack= line='end postponed process ' # \_$! : mY odd ProCEss LaBel...\ start= stack= line='end postponed process xid ' # ; start= stack= line='' ok 13 - postponed process, with all the extras ok 14 - process lite 1 with indented preprocessor directives ok 15 - record type declaration ok 16 - physical type declaration ok 17 - type/access ok 18 - architecture, process, loops, generate, min labels ok 19 - architecture, process, loop, generate, max labels ok 20 - architecture, process, if/then/elsif/else/end if ok 21 - process lite 1 ok 22 - postponed process lite 1 ok 23 - process lite 2 ok 24 - postponed process lite 2 ok 25 - function definition ok 26 - pure function definition ok 27 - impure function definition ok 28 - function declaration ok 29 - pure function declaration ok 30 - impure function declaration ok 31 - procedure declaration ok 32 - procedure body ok 33 - configuration declaration ok 34 - configuration specifiation ok 35 - aggregate ok 36 - brackets ok 37 - misc1 ok 38 - architecture/component 1 ok 39 - architecture/component 2 ok 40 - syntax summary: entity ok 41 - syntax summary: architecture ok 42 - syntax summary: package ok 43 - syntax summary: package body ok 44 - syntax summary: configuration ok 45 - architecture, process, case ok 46 - process lite 1 with left-aligned preprocessor commands ok 47 - process lite 1 with initial indent ok 48 - process lite 1 with indentation settings of 3+1 ok 49 - process lite 1 with indentation settings of 3+0 ok 50 - process lite 1 with indentation settings of 3+1, tab_spaces=4 ok 51 - process lite 1 with indentation settings of 3+1, tab_spaces=2 1..51 ok t/tidy_commandline....ok 1 - use Hardware::Vhdl::Tidy; ok 2 - tidy_commandline with no switches ok 3 - tidy_commandline with switches -ipp ok 4 - tidy_commandline with switches --indent-preprocessor ok 5 - tidy_commandline with switches -sil 1 ok 6 - tidy_commandline with switches --starting-indentation-level 1 ok 7 - tidy_commandline with switches -i 3 --ci 1 ok 8 - tidy_commandline with switches --indentation 3 --continuation-indentation 1 ok 9 - tidy_commandline with switches -i 3 --ci 0 ok 10 - tidy_commandline with switches --indentation 3 --continuation-indentation 0 ok 11 - tidy_commandline with switches -i 3 --ci 1 -t 4 ok 12 - tidy_commandline with switches --indentation 3 --continuation-indentation 1 --tab_spaces 4 ok 13 - tidy_commandline with switches -i 3 --ci 1 -t 2 ok 14 - tidy_commandline with switches --indentation 3 --continuation-indentation 1 --tab_spaces 2 ok 15 - tidy_commandline with switches -ppp @ ok 16 - tidy_commandline with switches --preprocessor-prefix @ ok 17 - tidy_commandline with switches -b: nothing written to STDOUT ok 18 - tidy_commandline with switches -b: backup file ok 19 - tidy_commandline with switches -b ok 20 - tidy_commandline with switches -b -bext backup: nothing written to STDOUT ok 21 - tidy_commandline with switches -b -bext backup: backup file ok 22 - tidy_commandline with switches -b -bext backup 1..22 ok All tests successful, 1 test skipped. Files=7, Tests=89, 2 wallclock secs ( 1.39 cusr + 0.26 csys = 1.65 CPU) Installing /Users/cpanrun/build/5.10.0/html/site/lib/Hardware/Vhdl/Tidy.html Installing /Users/cpanrun/build/5.10.0/site/lib/Hardware/Vhdl/Tidy.pm Installing /Users/cpanrun/build/5.10.0/site/man/man3/Hardware::Vhdl::Tidy.3 Appending installation info to /Users/cpanrun/build/5.10.0/lib/perllocal.pod